Electrical Engineering question and answers for July 02, 2023
- Q what is the customer sagment and key resources for IR WIRLESSCHARGER
- Q Please describe in full details the differences betweenprogrammable logic controls (PLC) and embedded systems.Also, examples for baisc project ideas for PLC to work on thissummer.Thanks
- Q Problem: A PM DC motor has the following parameters:Rated Power 10 hp;Rated armature voltage 230 V-DC;Rated RPM: 1800;Armature Resistance: 0.2 OhmArmature Inductance: 0.005 HInertia (motor plus mechanical load): 0.1 kgm^2;Viscous...
- Q 1) Course is Computers in BioMedicineIn about 250 words , Discuss Use of fuzzy logic in biomedicalexpert systems and diagnosis.
- Q select an energy alternative to coal.1) Explain the system engineering tradeoffs based on estimatedperformance, cost, schedule, or other relevant factors.2) Explain the decision process and analysis tools used inmaking your...
- Q Design an ECG amplifier using 3 operational amplifiersfor standard (AHA) specifications.
- Q What is the importance of measuring current in the clockwisedirection?
- Q In this exercise, you will be given a system with theirinput/output relationships. Using MATLAB, determine whether thesystem below are a) linear/non-linear b)time-invariant/timevariant, c) causal/noncausal, d) hasmemory/memoryless:y[n] = x2[n]Provide MATLAB code...
- Q Perform an internet information search on the terms “BipolarJunction Transistors†(or “BJTâ€) and “MOSFETâ€. Extract relevantinformation and write a ONE-PAGE explanation on how one can usesuch devices for building amplifiers...
- Q Using MATLAB, determine whether the system below are a)linear/non-linear b) time-invariant/timevariant, c)causal/noncausal, d) has memory/memoryless:y(t) = x(t) + x(t -1)Provide MATLAB code and graphs to show your work for thelinearity...
- Q Write VHDL code for ALU 32bit. ALU must perform addition andsubtraction. You are not allowed to use other libraries only thisis allowed to use library ieee; use ieee.std_logic_1164.all; Pleasewrite the...
- Q Keyreaources for IR WIRELESS CHARGING
- Q Answer the following questions and include the report.What is a number system?What is the purpose of a number base?What methods are utilized to convert number systems?What is the primary usage...
- Q Assuming that we have a 66/11-kV primary substation with three66/11-kV, 20MVA (rating of each transformer), power transformers,then the installed capacity is 60MVA and the firm capacity is48MVA. I'd like to...
- Q Write a MATLAB function [p, z] =proj(A,b) that computes the projection ofb onto the Column Space of an m ×n matrix A. Your program should allow for the possibilitythat the...
- Q Design a security system using red, green, and yellow LEDS, alongwith MUX chip, buzzer and ultrasonic sensor.
- Q What standard is “incorporated by reference when discussingelectrical safety� What are the key issues surrounding thisstandard, which was updated in 2011?
- Q What is the fastest ways for you to memorize or understandcertain concepts in electrical and computer engineering?This includes coding, network structures, types of terms thatrelates to its concepts, etc.Please explain...
- Q How can a magnetic sensor be employed to measure the movement ofvehicles?
- Q What are the three main components of an operatingsystem? And why?
- Q A 120VAC fan is to be controlled by an MSP430F2273. Assuming themaximumfan current is 2A, provide a solid-state relay interface using aSharpS108T02. Verify both voltage and current compatibility in thecontrol...
- Q An embedded system incorporates an MSP430F2274 clocked by a4.096MHzat 3.3V, driving two seven-segment displays and two discrete LEDs.The sevensegmentdisplay draws 7.5mA per segment at 1.8V and the discrete LEDsoperate with...
- Q why does the starting capacitor has dual values100/130 or values in a range whereas the running capacitor has onlyone value?In starting capacitor which value should be consider indesigning higher value...
- Q (b) State three advantages of digital technologycompared with analogtechnology?
- Q code an 8 bit LFSR random number generator in system verilog.Write a test bench, load the seed 11111111, and generate the first10 random numbers.
- Q Write down the VERILOG code for an XOR gate and the testbench codeto test it
- Q You are tasked with designing an ICS/SCADA system. You mustchoose a type of ICS/SCADA system from the options listedbelow:Correctional facilityPaint processing plantWater distribution facilityConsidering the type of system you chose...
- Q how do you know your product is a good one and how do you sellthe idea to an investor?
- Q V. How does ARM processor differentiate between a timerinterrupt and an A/D interrupt?VI. What does privileged mode mean and what is the majordifference between this mode and non-privileged mode?VII. There...
- Q DO IT To receive credit for this assignment, each problem mustbe computed using a MATLAB script. Do not hard-code computations.Define variables for the parameters given in the problem, and useMATLAB...
- Q Renewable Energy Engineering Question:Q) If the sun goes down, what otherforms of renewable energy can be used to help provide a continuousflow of power to the grid?
- Q VHDL Code: Design a 16-bit 4-to-1 multiplexer using data-flowimplementation style. Data inputs and output should be 16-bitvectors. In your test bench, you should include enough number oftest cases to show...
- Q Task 2 (P1.2)For this task you are required to summarize the use ofmicrocontrollers in each of the following applications. Indicatethe general purpose and the main advantage of using amicrocontroller.Arcade gamesMechanical...
- Q 1.Will an oscilloscope interfere with a circuit under test?Justify your answer.2.For a dual power supply, show the necessary connection toobtain -5V and -8V with respect to a common (chassis) ground.Repeat...
- Q If you were to design a communication system for voicefrequencies, explain which modulation technique you would use forthe following cases: Very high Immunity to noise and interferenceis important Narrow signal...
- Q Using the indicated parameters for each system element (P in,G1, L & G2) and with impedances matched for each junction(node, Ni ) of this end-to-end system, compute a) the net...
- Q imulate/ code in verilog:Develop an electronic key system using the FSM (moore)methology. Use from student ID and use the last 4 digits:(0864)if the number is <5 then = 1if the...
- Q VLSI circuit designintegrated ciruit : fabrication and manufacturing inindustry
- Q Questions is about PLC Project.13.2 Expand on Installation Acceptance Testing, ie: when andwhat would you expect to be doing / checking. 13.3 Expand on Start-Up Testing , ie: when and...
- Q Assignment Instructions:1) The FactorialThe factorial of a non-negative integer ??, denoted by ??!, isthe product of all positive integers less than or equal to ??. Thetextbook has an example of...
- Q 1) For a p-n junction in thermal equilibrium, write your owncodes in Matlab to calculate and plot the electric fielddistribution across the p-n junction along with appropriate axeslabeling.
- Q I want to design a project for fun, since I am planningto take electromagnetics next semester. I need help with thisdesignDesignConstruct and demonstrateAn arc generator solely driven by electrostatics,magnetostatics, and/or...
- Q Derive the equivalent discrete-time model of a wirelesscommunication system with quasi-static frequency-selectivefading.
- Q thanks alot! Scenario: You are a development engineer at a toycompany that manufactures remote control cars usingmicrocontrollers. Your R&D team is currently working ondesigning a new line of remote control...
- Q Write VHDL code for ALU 32bit. ALU must perform addition andsubtraction. You are not allowed to useother libraries. Only this libraries are allowed touse:use library ieee;use ieee.std_logic_1164.all;Please do it correctly...
- Q A supermarket you work part-time at has one express lane openfrom 5 to 6 PM on weekdays (Monday through Friday). This time ofthe day is usually the busiest since people...
- Q What is the open point in a sub-network of distribution substations? How does it operate? Please provide specific details.
- Q Explain its purpose and describe the process of wire bondingwhich is a part of the assembly and packaging sequence of anintegrated circuit.
- Q What’s the difference between switchgear and smart switchgear?Please compare them in a table if you can
- Q (i) Compare(a) Mask Programmable Logic Devices, (b) Field ProgrammableLogic Devices and (c) Field Programmable Gate Arrays.(ii) Draw an appropriate schematic diagram to describe followingprogrammable logic devices and discuss their advantages...
- Q New electric grid elements and technologies are changing thetraditional grid model of “generation ? transmission ? distribution? load.†Identify what these new disruptive technologies andinitiatives are and describe the impacts...
- Q Draw the block diagram of an adapter (also called “RegulatedPower Supplyâ€) and explain the function of each block. Explainbriefly with proper sketches, how the diodes form the integral partof the...
- Q Why we need high gain Receiver RF Amplifier? Justify with anexample.
- Q (a) Design an FSM (only state diagram and state table) for a3-bit counter that counts through odd numbers downwards. Assume thereset state to be the lowest value of the counter....
- Q Edit question Write a program that merges two files as follows.The two files are in the docsharing which you can download it. Onefile will containusernames(usernames.txt):foster001smith023nyuyen002...The otherfile will containpasswords(passwords.txt):x34rdf3ep43e4rddw32eds22...The programshould create...
- Q write a verilog code to implement a digital system that has anodd counter that counts from 1 to 11. Also, this system has anoutput Y that detects a specific number...
- Q Explain the operation of 12-pulse full controlled rectifier bysketching circuit schema and related waveforms and by giving thefundamental equations. What are the advantages of that circuit?
- Q VHDL Code will not run simulation. What is the problem with mycode??--VHDL Codelibrary IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.NUMERIC_STD.ALL;entity DataMemory16Bits isPort ( Address_DM : in STD_LOGIC_VECTOR(15 downto 0);Data_In_DM : in STD_LOGIC_VECTOR(15 downto...
- Q We want to make a machine that detects an alternating pattern.It should indicate whetherthree or more alternating bits have been observed on our serialinput X. So, if X has 010...
- Q Write a Behavioral model VHDL code that implements an ALU thatcan perform addition, subtraction, multiplication, shift right,shift left, logical NAND, and logical NOR. Write a VHDL test benchto test the...
- Q A company plans to purchase a transformer that will be used 12hours at full load and 12 hours with no load but still connected tothe power supply at all times....
- Q A company plans to purchase a transformer that will be used 12hours at full load and 12 hours with no load but still connected tothe power supply at all times....
- Q why differentrial signalling is better then common?
- Q why differential signal cancels inductance?thanks
- Q An inductor in a switch-mode power supply that operates at apeak current of 8 A needs to store 80 mJ of energy, thereafterrelease it to a 10 ? load resistor....
- Q Define the following terms related toD/Aconverter;                                             (i) resolution  (ii) accuracy   (iii)settling time        (iv)offset error     (v) gain error
- Q What is the high voltage and insulation tests for transformers ?How we can generate full-wave ,chopped-wave and switching wavevoltages in Marx generator for (Lightning and switching impulsetests )?
- Q Butterworth filtera)Design a 5th order low pass Butterworth low-pass filter with acut-off frequency of 1592 Hz and a dc gain of 3dB. Find and presentthe mathematical transfer function of the...
- Q For a company that is obliged to employ an electrical and electronic engineer, I would like you to make a risk assessment and include the following topics.STEP 1: Detect hazardsSTEP...
- Q QUESTION 16in 1000 words discus the campus’ loadprofile?based on the given information or Contextbelow,Context:Mookodi Enterprise is a business consultancy with 543 employees.They are based in the north of Johannesburg on...
- Q i. Explain how to evaluate the correct combination of RL and CLfor appropriate filter efficiency. ii. Suggest three (3)alternative designs if the output voltage is to be used to driveelectronics...
- Q What is intrinsic semiconductor? What is a carrier? What types of carriers does silicon have? What is free electron? What is a hole? Why can the hole conduct current?
- Q What is a transformer?What is a motor?What is a generator?
- Q Match the following concepts related to optical switches.    -     A.    B.     C.    D.     E.    F.   Simple 2-input 2-output switch    ...
- Q Brief discuss the operation of a split phase single phase singleinduction motor with respect to its construction, currentrelationship and torque characteristics. Illustrate your answer bymeans of diagram
Get Answers to Unlimited Questions
Join us to gain access to millions of questions and expert answers. Enjoy exclusive benefits tailored just for you!
Membership Benefits:
- Unlimited Question Access with detailed Answers
- Zin AI - 3 Million Words
- 10 Dall-E 3 Images
- 20 Plot Generations
- Conversation with Dialogue Memory
- No Ads, Ever!
- Access to Our Best AI Platform: Flex AI - Your personal assistant for all your inquiries!